EDAToolsCafe, the Worlds #1 EDA Web Portal.
Search:
HP Invent
  Home | EDAVision | Companies | Downloads | Interviews | News | Jobs | Resources |  ItZnewz  | |  CaféTalk  | HP Store
  Check Mail | Free Email | Submit Material | Universities | Books & Courses | Designers Corner | Events | Demos | Membership | Fun Stuff | Weather | Advertise | e-Catalog Signup >> Site Tour <<
 Browse eCatalog:  Free subscription to EDA Daily News
eCatalogAsic & ICPCBFPGADesign Services
Email: 

News: Subscribe to NewsAgent |  Company News |  News Jump |  Post News
  EDA Company News

Submit Comments Printer Friendly Version

Simplex and Toshiba Change the Face of Chip Design by Enabling Revolutionary IC Architecture

SUNNYVALE, Calif. and TOKYO, June 4 /PRNewswire/ -- In a development that could revolutionize the future of chip technology, Simplex Solutions, Inc. (Nasdaq: SPLX) and Toshiba Corporation today announced a new semiconductor architecture with the potential to deliver simultaneous improvements of 10+ percent greater chip performance, 20+ percent less power consumption, and 30+ percent more chips per wafer. Dubbed the X Architecture*, this new approach to chip design is the first to make possible the pervasive use of diagonal interconnects, or wiring, for advanced integrated circuits (ICs). Concurrently, Simplex revealed its invention of the interconnect design technology that enables the development of chips based on the radical new X Architecture.

To create this breakthrough, Simplex and Toshiba collaborated for more than two years on the feasibility and development of the X Architecture. The two companies have completed the design of a RISC processor core as the first-ever X Architecture design. Toshiba expects to use the X Architecture in additional designs starting in 2002.

While diagonal routing has long been recognized as a superior means of connecting the ever-increasing number of components on a chip, until now, its implementation has been limited to small, hand-routed portions of custom-designed chips. As a result, traditional ``Manhattan,'' or right-angle, interconnect structures have prevailed.

``We believe that the benefits of this new architecture are so great that within a few years, most designs with five or more metal layers will be implemented using the X Architecture,'' stated Dr. Susumu Kohyama, Senior Vice President of Toshiba Corporation. ``Toshiba worked with this team before when they founded Tangent, and we are delighted to be working with the same team at Simplex on this important new breakthrough in semiconductor design and manufacturing.''

An Opportunity for Innovation

Recent innovations in process technologies and material -- such as copper, low-k dielectrics and silicon-on-insulator-have enabled chipmakers to realize significant gains in cost and area savings, level of integration and device performance. However, design technologies and methodologies have not kept pace with these process advances and therefore do not take maximum advantage of the design opportunities now available. The primary reason for this is that the underlying approaches and algorithms for virtually all current routing technologies were developed more than 15 years ago, based on the assumptions and methods dictated by the computing power available at that time. The power of today's sophisticated computing resources, however, presents an opportunity to conceive an entirely new approach to physical design.

Simplex Enables the Breakthrough

Over three years ago, Simplex recognized this opportunity for innovation, and set about the complex and intense process of inventing the design technologies that would enable chipmakers to easily take advantage of the benefits offered by the pervasive use of diagonal interconnect.

Simplex's liquid routing technology, based on gridless, octilinear routing, makes X Architecture chips practical and achievable for the first time. This radically different approach to routing -- with the interconnect unconstrained by a grid and able to move in any of eight directions -- enables more direct connection between any two transistors on a chip, close or far, resulting in an average 20 percent reduction in wire length over the chip. This, in turn, yields simultaneous improvements in chip performance, power consumption, and chips per wafer for every design.

``This kind of innovation is only possible when you have the vision, the right people and the courage to start from scratch,'' noted Penny Herscher, Simplex chairman and chief executive officer. ``Simplex was founded to provide interconnect-centric design technologies for the interconnect-centric world of deep-submicron design. We built the most innovative and experienced team in the world, and then partnered with Toshiba -- a world-class semiconductor manufacturer -- to deliver on our vision.''

Simplex will be working with Toshiba and other semiconductor partners throughout the remainder of the year to prove out the technology and streamline the design process to create X Architecture chips. Simplex will employ its enabling technology to create a limited number of X Architecture chips for customers this year. X Architecture chips will be more generally available, both through the Simplex SoC Design Foundry and through licensing arrangements with semiconductor partners, in the second half of 2002.

About Toshiba

Toshiba Corporation is a leader in information and communications systems, electronic components, consumer products, and power systems. The company's integration of these wide-ranging capabilities assures its position as an innovator in advanced components, products, and systems. Toshiba has 190,000 employees worldwide, and annual sales of over US$54 billion. Visit Toshiba's website at http://www.toshiba.co.jp .

About Simplex

Simplex Solutions, Inc. provides software and services for the design and verification of integrated circuits to enable its communications, computer and consumer-products customers to achieve first-time production success and rapid delivery of complex systems-on-chip. Simplex's customers use its products and services prior to manufacture to design and verify the integrated circuits to help ensure that the integrated circuits will perform as intended, taking into account the complex effects of deep-submicron semiconductor physics. Simplex can be reached at 408-617-6100 or on the web at www.simplex.com.

Further details about the X Architecture and Simplex's liquid routing technology will be provided during a conference call this morning at 8:00 a.m. Eastern/5:00 a.m. Pacific. The call will be broadcast live over the Internet and can be accessed via the Investor Relations section on the Simplex website, located at www.simplex.com, or through the Street Fusion web site at www.streetfusion.com -- ticker SPLX. Please access the website at least 15 minutes prior to the start of the call to download and install any necessary audio or video software. Shortly after the conclusion of the call, a replay will be available via Simplex's website at www.simplex.com through June 8, 2001.

Cautionary Note Regarding Forward-looking Statements

This release contains forward-looking statements (including, without limitation, information regarding semiconductor design, production and performance improvements resulting from X Architecture, the effects of the X Architecture on semiconductor technology, the pervasive use of X Architecture in the development of semiconductors, Simplex's work with Toshiba and other partners in the continued development of the X Architecture technology and the timing of availability of semiconductors designed using the X Architecture) that involve risks and uncertainties that could cause the results of Simplex and other events to differ materially from management's current expectations.

Actual results and events may differ materially due to a number of factors including, among others: failure of semiconductor designers and manufacturers to adopt the X Architecture; failure of the X Architecture to enable the production of designs that are feasible and are competitive with current designs or future alternatives; the viability of Simplex's interconnect technology and other Simplex intellectual property that enables the X Architecture; the ability of Simplex to engage the partners necessary to complete the development of the X Architecture; future strategic decisions made by Toshiba and/or other partners that inhibit the development of the X Architecture; demand for advanced semiconductors that are developed using the X Architecture; cost feasibility of the production of semiconductors designed using the X Architecture; and the rapid pace of technological change in the semiconductor industry. The matters discussed in this press release also involve risks and uncertainties described in Simplex's and Toshiba's most recent filings with the Securities and Exchange Commission. Simplex and Toshiba assume no obligation to update the forward-looking information contained in this release.

  • See ``Semiconductor Leaders Launch Initiative to Support Radical New Chip Architecture,'' also announced today.
    Popularity Rating for this story -
  • Copyright 2001, Internet Business Systems, Inc.
    1-888-44-WEB-44 --- marketing@ibsystems.com